• These commercial threads are for private transactions. diyAudio.com provides these forums for the convenience of our members, but makes no warranty nor assumes any responsibility. We do not vet any members, use of this facility is at your own risk. Customers can post any issues in those threads as long as it is done in a civil manner. All diyAudio rules about conduct apply and will be enforced.

Reference DAC Module - Discrete R-2R Sign Magnitude 24 bit 384 KHz

Member
Joined 2009
Paid Member
DSD is a one bit delta sigma modulated signal at 2.822, 5.644 Mbs or higher, afaik, you just feed it do a 50-70 Khz lowpass filter to get the analog signal back.
On a parallel DAC like mine you have to do an equivalent digital lowpass filter, then feed it in parallel form to the R-2R network, not really any conversion as such....
 
DSD is a one bit delta sigma modulated signal at 2.822, 5.644 Mbs or higher, afaik, you just feed it do a 50-70 Khz lowpass filter to get the analog signal back.
On a parallel DAC like mine you have to do an equivalent digital lowpass filter, then feed it in parallel form to the R-2R network, not really any conversion as such....

Hi Soekris

If I am nit misunderstood that means we just only need a digital filter to get analog signal to feed in the r2r dac only? If yes this is a extra benefit to all user .

Thanks
 
If we connect an external analog stage to J7, the DAC would need to drive onboard opamp and external analog stage concurrently. Would it degrade the sound? Should we add a 0 Ohm resistor or jumper just before the opamp so that we can disconnect it when using external analog stage?
 

Attachments

  • r2r dac output jumper.jpg
    r2r dac output jumper.jpg
    155.1 KB · Views: 4,726
Hi,

I personnally don´t believe in a gross sonic superiority of R2R DAC-Cores, as in our experiments they performed no better against bypassed Sigma-Delta-DAC-Cores (PCM1704x8, MSB-DAC against PCM179x).
I don´t know of a valid comparison as comparing DACs doesn´t mean to compare the DAC-Cores only, but also different digital frontends, different supplies and different analog stages at the same.
I rather believe that the surroundings are more important for the acoustic end result.
Especially the digital front end side can make a difference.
Standard oversampling to 192kHz is certainly efficient regarding Hardware effort, but the required uneven upsampling is imho far from optimal.
Why trying to extract Audio bit-correct from CD to a HDR if complete frames are dismissed when 44.1kHz synchronizes to 192kHz (factor 4.353741497......)

The board just need data with bitclock, no other input clocks needed. There is a Si514 precision programmable clock generator. The STM32 uC will measure input clock and adjust the Si514 as needed, with data buffered in FIFO, resulting in low jitter bit perfect audio data no matter the input....
From this quote in #34 I assume, that You switch the clock rate of the DAC, depending on the clock of the incoming signal.
Which means You are doing ´linear´, or better said ´even´, upsampling (times 1, 2,4,8, etc.) in the FPGA and run the DAC-Core NOS?
That´d be great and I´m certain that it´d result in considerable sonic improvement, as it also improves the outcome with Sigma-Delts-Cores.
If You do it rather the common way of standard uneven upsampling to a single clock rate, You may waste a thought about the alternative ;)

jauu
Calvin
 
Member
Joined 2009
Paid Member
Calvin,

We can agree that the best Sigma-Delta DAC's are not bad at all, but I'm in pursuit of the absolute best, like so many other here :)

The R-2R DAC don't really care about the clock frequency, so any oversampling will of course be even, no reason to mess with things, but as it also will be very configurable you can select any even oversampling rate you want (up to hardware limits), or none....